Intel logotipseCPRI Intel® FPGA IP dizains
Example Lietotāja rokasgrāmata
Atjaunināts Intel®
Quartus®
Prime Design Suite: 23.1
IP versija: 2.0.3

Īsā lietošanas pamācība

Uzlabotā kopējā publiskā radio saskarne (eCPRI) Intel® FPGA IP kodols īsteno eCPRI specifikācijas versiju 2.0. eCPRI Intel FPGA IP nodrošina simulācijas testēšanas stendu un aparatūras dizainu, piemēram,ample, kas atbalsta kompilāciju un aparatūras testēšanu. Kad jūs ģenerējat dizainu, piemample, parametru redaktors automātiski izveido files nepieciešams, lai modelētu, apkopotu un pārbaudītu dizainu example aparatūrā.
Apkopotais aparatūras dizains example darbojas:

  • Intel Agilex™ 7 I sērijas FPGA izstrādes komplekts
  • Intel Agilex 7 I sērijas raiduztvērēja-SoC izstrādes komplekts
  • Intel Agilex 7 F sērijas raiduztvērēja-SoC izstrādes komplekts
  • Intel Stratix® 10 GX raiduztvērēja signāla integritātes izstrādes komplekts H-tile dizainam examples
  • Intel Stratix 10 TX raiduztvērēja signāla integritātes izstrādes komplekts E-tile dizainam, piemamples
  • Intel Arria® 10 GX raiduztvērēja signāla integritātes izstrādes komplekts

Intel nodrošina tikai kompilācijas eksemplāruample projekts, ko varat izmantot, lai ātri novērtētu IP pamatplatību un laiku.
Pārbaudes stends un dizains example atbalsta 25G un 10G datu pārraides ātrumu Intel Stratix 10 H-tile vai E-tile un Intel Agilex 7 E-tile vai F-tile ierīču variācijām eCPRI IP.

Piezīme: eCPRI IP dizains, piemample ar interworking funkciju (IWF) ir pieejams tikai 9.8 Gbps CPRI līnijas bitu pārraides ātrumam pašreizējā laidienā.
Piezīme: eCPRI IP dizains, piemample neatbalsta dinamisku pārkonfigurāciju 10G datu pārraides ātrumam Intel Arria 10 dizainā.

eCPRI Intel FPGA IP kodola dizains, piemample atbalsta šādas funkcijas:

  • Iekšējais TX uz RX seriālās cilpas režīms
  • Satiksmes ģenerators un pārbaudītājs
  • Pamata pakešu pārbaudes iespējas
  • Iespēja izmantot sistēmas konsoli, lai palaistu dizainu un atiestatītu dizainu atkārtotas testēšanas nolūkos

Intel korporācija. Visas tiesības aizsargātas. Intel, Intel logotips un citas Intel preču zīmes ir Intel Corporation vai tās meitasuzņēmumu preču zīmes. Intel garantē savu FPGA un pusvadītāju produktu veiktspēju atbilstoši pašreizējām specifikācijām saskaņā ar Intel standarta garantiju, taču patur tiesības jebkurā laikā bez brīdinājuma veikt izmaiņas jebkuros produktos un pakalpojumos. Intel neuzņemas nekādu atbildību vai saistības, kas izriet no jebkādas šeit aprakstītās informācijas, produkta vai pakalpojuma lietojuma vai izmantošanas, izņemot gadījumus, kad Intel ir nepārprotami rakstiski piekritis. Intel klientiem ir ieteicams iegūt jaunāko ierīces specifikāciju versiju, pirms paļauties uz jebkādu publicētu informāciju un pirms preču vai pakalpojumu pasūtījumu veikšanas. *Citi nosaukumi un zīmoli var tikt uzskatīti par citu personu īpašumiem.

ISO 9001: 2015 reģistrēts

1. attēls. Dizaina izstrādes soļi ExampleeCPRI Intel FPGA IP dizains — 1. attēls

Saistītā informācija

  • eCPRI Intel FPGA IP lietotāja rokasgrāmata
  • eCPRI Intel FPGA IP izlaišanas piezīmes

1.1. Aparatūras un programmatūras prasības
Lai pārbaudītu bijušoampdizains, izmantojiet šādu aparatūru un programmatūru:

  • Intel Quartus® Prime Pro Edition programmatūras versija 23.1
  • Sistēmas konsole
  • Atbalstītie simulatori:
    — Siemens* EDA QuestaSim*
    — konspekts* VCS*
    — Kopsavilkums VCS MX
    — Aldec* Riviera-PRO*
    — Kadence* Xcelium*
  • Izstrādes komplekts:
    — Intel Agilex 7 I-Series FPGA izstrādes komplekts
    — Intel Agilex 7 I sērijas raiduztvērēja-SoC izstrādes komplekts
    — Intel Agilex 7 F sērijas raiduztvērēja-SoC izstrādes komplekts
    — Intel Stratix 10 GX raiduztvērēja signāla integritātes izstrādes komplekts H-tile ierīces varianta dizainam example
    — Intel Stratix 10 TX raiduztvērēja signāla integritātes izstrāde E-tile ierīces varianta dizainam example
    — Intel Arria 10 GX raiduztvērēja signāla integritātes izstrādes komplekts

Saistītā informācija

  • Intel Agilex 7 I-Series FPGA izstrādes komplekta lietotāja rokasgrāmata
  • Intel Agilex 7 I-Series raiduztvērēja-SoC izstrādes komplekta lietotāja rokasgrāmata
  • Intel Agilex 7 F sērijas raiduztvērēja-SoC izstrādes komplekta lietotāja rokasgrāmata
  • Intel Stratix 10 GX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata
  • Intel Stratix 10 TX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata
  • Intel Arria 10 GX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata

1.2. Dizaina ģenerēšana
Priekšnosacījums: kad esat saņēmis eCPRI web-core IP, saglabājiet web-core uzstādītājs uz vietējo apgabalu. Palaidiet instalēšanas programmu ar Windows/Linux. Kad tiek prasīts, instalējiet webkodols tajā pašā vietā, kur Intel Quartus Prime mapē.
eCPRI Intel FPGA IP tagad parādās IP katalogā.
Ja jums vēl nav Intel Quartus Prime Pro Edition projekta, kurā integrēt savu eCPRI Intel FPGA IP kodolu, jums tas ir jāizveido.

  1. Programmatūrā Intel Quartus Prime Pro Edition noklikšķiniet uz File ➤ Jauna projekta vednis, lai izveidotu jaunu Intel Quartus Prime projektu, vai noklikšķiniet uz File ➤ Atveriet projektu, lai atvērtu esošu Intel Quartus Prime projektu. Vednis piedāvā norādīt ierīci.
  2. Norādiet ierīču saimi un ierīci, kas atbilst ātruma pakāpes prasībām.
  3. Noklikšķiniet uz Pabeigt.
  4. IP katalogā atrodiet un veiciet dubultklikšķi uz eCPRI Intel FPGA IP. Parādās logs New IP Variant.

Veiciet šīs darbības, lai ģenerētu eCPRI IP aparatūras dizainu, piemēram,ample un testbench:

  1. IP katalogā atrodiet un veiciet dubultklikšķi uz eCPRI Intel FPGA IP. Parādās logs New IP Variant.
  2. Noklikšķiniet uz Labi. Parādās parametru redaktors.
    2. attēls. Example Design Tab eCPRI Intel FPGA IP parametru redaktorāeCPRI Intel FPGA IP dizains — 2. attēls
  3. Norādiet augstākā līmeņa nosaukumu jūsu pielāgotajam IP variantam. Parametru redaktors saglabā IP variantu iestatījumus a file nosaukts .ip.
  4. Noklikšķiniet uz Labi. Parādās parametru redaktors.
  5. Cilnē Vispārīgi norādiet sava IP pamata varianta parametrus.
    Piezīme: • eCPRI IP parametru redaktorā ir jāieslēdz straumēšanas parametrs, kad ģenerējat dizainu, piemēramample ar iespējotu mijiedarbības funkcijas (IWF) atbalsta parametru,
    • Veidojot dizainu, jums ir jāiestata CPRI līnijas bitu pārraides ātrums (Gbit/s) uz Citiample ar iespējotu Interworking Function (IWF) atbalsta parametru.
  6. Uz Exampcilnē Design, atlasiet simulācijas opciju, lai ģenerētu testēšanas stendu, atlasiet sintēzes opciju, lai ģenerētu aparatūru, piemēram,ample dizains un atlasiet sintēzes un simulācijas opciju, lai ģenerētu gan testēšanas stendu, gan aparatūras dizainu, piemēramample.
  7. Valodai augstākā līmeņa simulācijai file, atlasiet Verilog vai VHDL.
    Piezīme: Šī opcija ir pieejama tikai tad, ja atlasāt simulācijas opciju savam bijušajamampdizains.
  8. Valodai augstākā līmeņa sintēzei file, atlasiet Verilog vai VHDL.
    Piezīme: Šī opcija ir pieejama tikai tad, ja atlasāt sintēzes opciju savam bijušajamampdizains.
  9. Laukā Kanālu skaits varat ievadīt jūsu dizainam paredzēto kanālu skaitu (1 līdz 4). Noklusējuma vērtība ir 1.
  10. Noklikšķiniet uz Ģenerēt Example dizains. Izvēlēties ExampTiek parādīts logs Design Directory.
  11. Ja vēlaties modificēt dizainu, piemampdirektorija ceļš vai nosaukums no parādītajiem noklusējuma iestatījumiem (ecpri_0_testbench), pārlūkojiet jauno ceļu un ierakstiet jauno dizainu example direktorija nosaukums.
  12. Noklikšķiniet uz Labi.

Saistītā informācija
eCPRI Intel FPGA IP lietotāja rokasgrāmata
1.3. Direktoriju struktūra
eCPRI IP pamata dizains, piemample file direktoriji satur šādu ģenerētu files dizainam example.

3. attēls. Izveidotā eksemplāra direktoriju struktūraample dizainseCPRI Intel FPGA IP dizains — 3. attēls

Piezīme:

  1. Pieejams tikai Intel Arria 10 IP dizainā, piemample variācija .
  2. Pieejams tikai Intel Stratix 10 (H-tile vai E-tile) IP dizainā, piemample variācija .
  3. Pieejams tikai Intel Agilex E-tile IP dizainā, example variācija .

1. tabula. eCPRI Intel FPGA IP Core Testbench File Apraksti

File Vārdi  Apraksts
Key Testbench un simulācija Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv Augstākā līmeņa testa stends file. Testbend izveido DUT iesaiņojumu un palaiž Verilog HDL uzdevumus, lai ģenerētu un pieņemtu paketes.
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT iesaiņojums, kas rada DUT un citus testa stenda komponentus.
<design_example_dir>/simulation/ed_fw/flow.c C-koda avots file.
Testbench skripti
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do Siemens EDA QuestaSim skripts, lai palaistu testēšanas stendu.
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh Synopsys VCS skripts, lai palaistu testbandu.
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX skripts (apvienots Verilog HDL un
SystemVerilog ar VHDL), lai palaistu testa stendu.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl Aldec* Riviera-PRO skripts, lai palaistu testbandu.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Cadence* Xcelium skripts, lai palaistu testbandu.

2. tabula. eCPRI Intel FPGA IP Core Hardware Design Example File Apraksti

File Vārdi Apraksti
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Intel Quartus Prime projekts file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Intel Quartus Prime projekta iestatījums file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Konspekts Dizaina ierobežojumi files. Varat tos kopēt un modificēt files jūsu Intel Stratix 10 dizainam.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Augstākā līmeņa Verilog HDL dizains example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT iesaiņojums, kas rada DUT un citus testa stenda komponentus.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Galvenā file lai piekļūtu sistēmas konsolei (pieejams Intel Stratix 10 H-tile un E-tile dizainā).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Galvenā file lai piekļūtu sistēmas konsolei (pieejams Intel Arria 10 dizainā).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Galvenā file lai piekļūtu sistēmas konsolei (pieejams Intel Agilex 7 dizainā).

1.4. Dizaina imitācija Example Testbench
4. attēls. ProcedūraeCPRI Intel FPGA IP dizains — 4. attēls

Veiciet šīs darbības, lai simulētu testa stendu:

  1. Komandu uzvednē pārejiet uz testbench simulācijas direktorijuample_dir>/simulation/setup_scripts.
  2. Intel Agilex F-tile ierīces variantiem veiciet tālāk norādītās darbības.
    a. Dodieties uzample_dir>/simulation/quartus direktorijā un izpildiet šīs divas tālāk norādītās komandas: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Varat arī atvērt ecpri_ed.qpf projektu programmā Intel Quartus Prime Pro Edition un veikt kompilāciju līdz atbalsta loģikas paaudzei.tage.
    b. Dodieties uzample_dir>/simulation/setup_scripts direktorijā.
    c. Palaidiet šādu komandu: ip-setup-simulation --quartus-project=../quartus/ecpri_ed.qpf
  3. Palaidiet simulācijas skriptu jūsu izvēlētajam atbalstītajam simulatoram. Skripts apkopo un palaiž simulatora testa stendu. Skatiet tabulu Testbench simulācijas soļi.
    Piezīme: VHDL valodas atbalsts simulācijai ir pieejams tikai ar QuestaSim un VCS MX simulatoriem. Verilog valodas atbalsts simulācijai ir pieejams visiem simulatoriem, kas uzskaitīti tabulā: Testbench simulācijas soļi.
  4. Analizējiet rezultātus. Veiksmīgais testa stends nosūta un saņem paketes un parāda “PASSED”.

3. tabula. Testbench simulācijas darbības

Simulators Norādījumi
QuestaSim Komandrindā ierakstiet vsim -do run_vsim.do Ja vēlaties simulēt, neatverot QuestaSim GUI, ierakstiet vsim -c -do run_vsim.do
VCS • Komandrindā ierakstiet sh run_vcs.sh
• Dodieties uzample_dir>/simulation/setup_scripts/ synopsys/vcs un palaidiet šādu komandu: sh run_vcs.sh
VCS MX Komandrindā ierakstiet sh run_vcsmx.sh
Riviera-PRO Komandrindā ierakstiet vsim -c -do run_rivierapro.tcl
Piezīme: Tiek atbalstīts tikai Intel Stratix 10 H-tile dizaina variantos.
Xcelium (1) Komandrindā ierakstiet sh run_xcelium.sh
  1. Šis simulators netiek atbalstīts eCPRI Intel FPGA IP dizainam, piemēramample ģenerēts ar iespējotu IWF funkciju.

Sample Izvade: Sekojošie sample izvade ilustrē veiksmīgu eCPRI IP dizaina simulācijas testa darbību, piemēramampbez iespējotas IWF funkcijas ar kanālu skaitu = 4:

# Gaida RX izlīdzināšanu
# RX novirze ir bloķēta
# RX joslu izlīdzināšana ir bloķēta
# Gaida saites kļūdas dzēšanu
# Saites defekts ir dzēsts
# MAC avota adrese 0_0 0. kanāls: 33445566
# MAC avota adrese 0_1 0. kanāls: 00007788
# MAC galamērķa adrese 0_0 0. kanāls: 33445566
# MAC galamērķa adrese 0_1 0. kanāls: 00007788
# MAC galamērķa adrese 1_0 0. kanāls: 11223344
# MAC galamērķa adrese 1_1 0. kanāls: 00005566
# MAC galamērķa adrese 2_0 0. kanāls: 22334455
# MAC galamērķa adrese 2_1 0. kanāls: 00006677
# MAC galamērķa adrese 3_0 0. kanāls: 44556677
# MAC galamērķa adrese 3_1 0. kanāls: 00008899
# MAC galamērķa adrese 4_0 0. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 0: 0000aabb
# MAC galamērķa adrese 5_0 0. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 0: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 0: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 0: 0000ccdd
# MAC galamērķa adrese 7_0 0. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 0: 0000ddee
# eCPRI kopējais vadības kanāls 0: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 0: 00000241
# eCPRI versija Kanāls 0: 2
# MAC avota adrese 0_0 1. kanāls: 33445566
# MAC avota adrese 0_1 1. kanāls: 00007788
# MAC galamērķa adrese 0_0 1. kanāls: 33445566
# MAC galamērķa adrese 0_1 1. kanāls: 00007788
# MAC galamērķa adrese 1_0 1. kanāls: 11223344
# MAC galamērķa adrese 1_1 1. kanāls: 00005566
# MAC galamērķa adrese 2_0 1. kanāls: 22334455
# MAC galamērķa adrese 2_1 1. kanāls: 00006677
# MAC galamērķa adrese 3_0 1. kanāls: 44556677
# MAC galamērķa adrese 3_1 1. kanāls: 00008899
# MAC galamērķa adrese 4_0 1. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 1: 0000aabb
# MAC galamērķa adrese 5_0 1. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 1: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 1: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 1: 0000ccdd
# MAC galamērķa adrese 7_0 1. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 1: 0000ddee
# eCPRI kopējais vadības kanāls 1: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 1: 00000241
# eCPRI versija Kanāls 1: 2
# MAC avota adrese 0_0 2. kanāls: 33445566
# MAC avota adrese 0_1 2. kanāls: 00007788
# MAC galamērķa adrese 0_0 2. kanāls: 33445566
# MAC galamērķa adrese 0_1 2. kanāls: 00007788
# MAC galamērķa adrese 1_0 2. kanāls: 11223344
# MAC galamērķa adrese 1_1 2. kanāls: 00005566
# MAC galamērķa adrese 2_0 2. kanāls: 22334455
# MAC galamērķa adrese 2_1 2. kanāls: 00006677
# MAC galamērķa adrese 3_0 2. kanāls: 44556677
# MAC galamērķa adrese 3_1 2. kanāls: 00008899
# MAC galamērķa adrese 4_0 2. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 2: 0000aabb
# MAC galamērķa adrese 5_0 2. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 2: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 2: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 2: 0000ccdd
# MAC galamērķa adrese 7_0 2. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 2: 0000ddee
# eCPRI kopējais vadības kanāls 2: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 2: 00000241
# eCPRI versija Kanāls 2: 2
# MAC avota adrese 0_0 3. kanāls: 33445566
# MAC avota adrese 0_1 3. kanāls: 00007788
# MAC galamērķa adrese 0_0 3. kanāls: 33445566
# MAC galamērķa adrese 0_1 3. kanāls: 00007788
# MAC galamērķa adrese 1_0 3. kanāls: 11223344
# MAC galamērķa adrese 1_1 3. kanāls: 00005566
# MAC galamērķa adrese 2_0 3. kanāls: 22334455
# MAC galamērķa adrese 2_1 3. kanāls: 00006677
# MAC galamērķa adrese 3_0 3. kanāls: 44556677
# MAC galamērķa adrese 3_1 3. kanāls: 00008899
# MAC galamērķa adrese 4_0 3. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 3: 0000aabb
# MAC galamērķa adrese 5_0 3. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 3: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 3: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 3: 0000ccdd
# MAC galamērķa adrese 7_0 3. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 3: 0000ddee
# eCPRI kopējais vadības kanāls 3: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 3: 00000241
# eCPRI versija Kanāls 3: 2
# ___________________________________________________________________
# INFO: ārpus atiestatīšanas statusa
# ___________________________________________________________________
#
#
# Kanāls 0 eCPRI TX SOP skaits: 0
# 0. kanāls eCPRI TX EOP skaits: 0
# Kanāls 0 eCPRI RX SOP skaits: 0
# Kanāls 0 eCPRI RX EOP skaits: 0
# Kanāls 0 Ārējo PTP TX SOP skaits: 0
# Kanāls 0 Ārējo PTP TX EOP skaits: 0
# Kanāls 0 Ārējo MISC TX SOP skaits: 0
# Kanāls 0 Ārējo MISC TX EOP skaits: 0
# Kanāls 0 Ārējo RX SOP skaits: 0
# Kanāls 0 Ārējo RX EOP skaits: 0
# Kanāls 1 eCPRI TX SOP skaits: 0
# 1. kanāls eCPRI TX EOP skaits: 0
# Kanāls 1 eCPRI RX SOP skaits: 0
# Kanāls 1 eCPRI RX EOP skaits: 0
# Kanāls 1 Ārējo PTP TX SOP skaits: 0
# Kanāls 1 Ārējo PTP TX EOP skaits: 0
# Kanāls 1 Ārējo MISC TX SOP skaits: 0
# Kanāls 1 Ārējo MISC TX EOP skaits: 0
# Kanāls 1 Ārējo RX SOP skaits: 0
# Kanāls 1 Ārējo RX EOP skaits: 0
# Kanāls 2 eCPRI TX SOP skaits: 0
# 2. kanāls eCPRI TX EOP skaits: 0
# Kanāls 2 eCPRI RX SOP skaits: 0
# Kanāls 2 eCPRI RX EOP skaits: 0
# Kanāls 2 Ārējo PTP TX SOP skaits: 0
# Kanāls 2 Ārējo PTP TX EOP skaits: 0
# Kanāls 2 Ārējo MISC TX SOP skaits: 0
# Kanāls 2 Ārējo MISC TX EOP skaits: 0
# Kanāls 2 Ārējo RX SOP skaits: 0
# Kanāls 2 Ārējo RX EOP skaits: 0
# Kanāls 3 eCPRI TX SOP skaits: 0
# 3. kanāls eCPRI TX EOP skaits: 0
# Kanāls 3 eCPRI RX SOP skaits: 0
# Kanāls 3 eCPRI RX EOP skaits: 0
# Kanāls 3 Ārējo PTP TX SOP skaits: 0
# Kanāls 3 Ārējo PTP TX EOP skaits: 0
# Kanāls 3 Ārējo MISC TX SOP skaits: 0
# Kanāls 3 Ārējo MISC TX EOP skaits: 0
# Kanāls 3 Ārējo RX SOP skaits: 0
# Kanāls 3 Ārējo RX EOP skaits: 0
# ___________________________________________________________________
# INFORMĀCIJA: sāciet pakešu pārsūtīšanu
# ___________________________________________________________________
#
#
# INFORMĀCIJA: tiek gaidīta 0. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 0. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 0 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 0 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 0 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 0 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 1. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 1. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 1 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 1 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 1 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 1 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 2. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 2. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 2 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 2 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 2 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 2 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 3. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 3. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 3 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 3 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 3 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 3 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# ___________________________________________________________________
# INFORMĀCIJA: pārtrauciet pakešu pārsūtīšanu
# ___________________________________________________________________
#
#
# ___________________________________________________________________
# INFO: Pakešu statistikas pārbaude
# ___________________________________________________________________
#
#
# 0. kanāls eCPRI SOP pārraidīts: 300
# 0. kanāls eCPRI EOP pārraidīts: 300
# 0. kanālam saņemtie eCPRI SOP: 300
# 0. kanālā saņemtie eCPRI EOP: 300
# 0. kanāls. Ziņots par eCPRI kļūdu: 0
# 0. kanāls. Ārējie PTP SOP pārraidīti: 4
# 0. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 0. kanāls Ārējie MISC SOP pārraidīti: 128
# 0. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 0. kanāls saņemti ārējie SOP: 132
# 0. kanāls saņemti ārējie EOP: 132
# 0. kanāls saņemtie ārējie PTP SOP: 4
# 0. kanāls saņemtie ārējie PTP EOP: 4
# 0. kanāls saņemti ārējie MISC SOP: 128
# 0. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 0. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 0 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 1. kanāls eCPRI SOP pārraidīts: 300
# 1. kanāls eCPRI EOP pārraidīts: 300
# 1. kanālam saņemtie eCPRI SOP: 300
# 1. kanālā saņemtie eCPRI EOP: 300
# 1. kanāls. Ziņots par eCPRI kļūdu: 0
# 1. kanāls. Ārējie PTP SOP pārraidīti: 4
# 1. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 1. kanāls Ārējie MISC SOP pārraidīti: 128
# 1. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 1. kanāls saņemti ārējie SOP: 132
# 1. kanāls saņemti ārējie EOP: 132
# 1. kanāls saņemtie ārējie PTP SOP: 4
# 1. kanāls saņemtie ārējie PTP EOP: 4
# 1. kanāls saņemti ārējie MISC SOP: 128
# 1. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 1. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 1 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 2. kanāls eCPRI SOP pārraidīts: 300
# 2. kanāls eCPRI EOP pārraidīts: 300
# 2. kanālam saņemtie eCPRI SOP: 300
# 2. kanālā saņemtie eCPRI EOP: 300
# 2. kanāls. Ziņots par eCPRI kļūdu: 0
# 2. kanāls. Ārējie PTP SOP pārraidīti: 4
# 2. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 2. kanāls Ārējie MISC SOP pārraidīti: 128
# 2. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 2. kanāls saņemti ārējie SOP: 132
# 2. kanāls saņemti ārējie EOP: 132
# 2. kanāls saņemtie ārējie PTP SOP: 4
# 2. kanāls saņemtie ārējie PTP EOP: 4
# 2. kanāls saņemti ārējie MISC SOP: 128
# 2. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 2. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 2 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 3. kanāls eCPRI SOP pārraidīts: 300
# 3. kanāls eCPRI EOP pārraidīts: 300
# 3. kanālam saņemtie eCPRI SOP: 300
# 3. kanālā saņemtie eCPRI EOP: 300
# 3. kanāls. Ziņots par eCPRI kļūdu: 0
# 3. kanāls. Ārējie PTP SOP pārraidīti: 4
# 3. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 3. kanāls Ārējie MISC SOP pārraidīti: 128
# 3. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 3. kanāls saņemti ārējie SOP: 132
# 3. kanāls saņemti ārējie EOP: 132
# 3. kanāls saņemtie ārējie PTP SOP: 4
# 3. kanāls saņemtie ārējie PTP EOP: 4
# 3. kanāls saņemti ārējie MISC SOP: 128
# 3. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 3. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 3 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# ___________________________________________________________________
# INFORMĀCIJA: tests IZCELTS
#
# ___________________________________________________________________

Sample Izvade: Sekojošie sample izvade ilustrē veiksmīgu eCPRI IP dizaina simulācijas testa darbību, piemēramampar iespējotu IWF funkciju ar kanālu skaitu = 4:

# Iespējot CPRI TX
# CPRI kanāls 0 L1_CONFIG : 00000001
# CPRI kanāls 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI kanāls 1 L1_CONFIG : 00000001
# CPRI kanāls 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI kanāls 2 L1_CONFIG : 00000001
# CPRI kanāls 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI kanāls 3 L1_CONFIG : 00000001
# CPRI kanāls 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Gaida RX izlīdzināšanu
# RX novirze ir bloķēta
# RX joslu izlīdzināšana ir bloķēta
# Gaida saites kļūdas dzēšanu
# Saites defekts ir dzēsts
# MAC avota adrese 0_0 0. kanāls: 33445566
# MAC avota adrese 0_1 0. kanāls: 00007788
# MAC galamērķa adrese 0_0 0. kanāls: 33445566
# MAC galamērķa adrese 0_1 0. kanāls: 00007788
# MAC galamērķa adrese 1_0 0. kanāls: 11223344
# MAC galamērķa adrese 1_1 0. kanāls: 00005566
# MAC galamērķa adrese 2_0 0. kanāls: 22334455
# MAC galamērķa adrese 2_1 0. kanāls: 00006677
# MAC galamērķa adrese 3_0 0. kanāls: 44556677
# MAC galamērķa adrese 3_1 0. kanāls: 00008899
# MAC galamērķa adrese 4_0 0. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 0: 0000aabb
# MAC galamērķa adrese 5_0 0. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 0: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 0: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 0: 0000ccdd
# MAC galamērķa adrese 7_0 0. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 0: 0000ddee
# eCPRI kopējais vadības kanāls 0: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 0: 00000241
# eCPRI versija Kanāls 0: 2
# MAC avota adrese 0_0 1. kanāls: 33445566
# MAC avota adrese 0_1 1. kanāls: 00007788
# MAC galamērķa adrese 0_0 1. kanāls: 33445566
# MAC galamērķa adrese 0_1 1. kanāls: 00007788
# MAC galamērķa adrese 1_0 1. kanāls: 11223344
# MAC galamērķa adrese 1_1 1. kanāls: 00005566
# MAC galamērķa adrese 2_0 1. kanāls: 22334455
# MAC galamērķa adrese 2_1 1. kanāls: 00006677
# MAC galamērķa adrese 3_0 1. kanāls: 44556677
# MAC galamērķa adrese 3_1 1. kanāls: 00008899
# MAC galamērķa adrese 4_0 1. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 1: 0000aabb
# MAC galamērķa adrese 5_0 1. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 1: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 1: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 1: 0000ccdd
# MAC galamērķa adrese 7_0 1. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 1: 0000ddee
# eCPRI kopējais vadības kanāls 1: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 1: 00000241
# eCPRI versija Kanāls 1: 2
# MAC avota adrese 0_0 2. kanāls: 33445566
# MAC avota adrese 0_1 2. kanāls: 00007788
# MAC galamērķa adrese 0_0 2. kanāls: 33445566
# MAC galamērķa adrese 0_1 2. kanāls: 00007788
# MAC galamērķa adrese 1_0 2. kanāls: 11223344
# MAC galamērķa adrese 1_1 2. kanāls: 00005566
# MAC galamērķa adrese 2_0 2. kanāls: 22334455
# MAC galamērķa adrese 2_1 2. kanāls: 00006677
# MAC galamērķa adrese 3_0 2. kanāls: 44556677
# MAC galamērķa adrese 3_1 2. kanāls: 00008899
# MAC galamērķa adrese 4_0 2. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 2: 0000aabb
# MAC galamērķa adrese 5_0 2. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 2: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 2: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 2: 0000ccdd
# MAC galamērķa adrese 7_0 2. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 2: 0000ddee
# eCPRI kopējais vadības kanāls 2: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 2: 00000241
# eCPRI versija Kanāls 2: 2
# MAC avota adrese 0_0 3. kanāls: 33445566
# MAC avota adrese 0_1 3. kanāls: 00007788
# MAC galamērķa adrese 0_0 3. kanāls: 33445566
# MAC galamērķa adrese 0_1 3. kanāls: 00007788
# MAC galamērķa adrese 1_0 3. kanāls: 11223344
# MAC galamērķa adrese 1_1 3. kanāls: 00005566
# MAC galamērķa adrese 2_0 3. kanāls: 22334455
# MAC galamērķa adrese 2_1 3. kanāls: 00006677
# MAC galamērķa adrese 3_0 3. kanāls: 44556677
# MAC galamērķa adrese 3_1 3. kanāls: 00008899
# MAC galamērķa adrese 4_0 3. kanāls: 66778899
# MAC galamērķa adrese 4_1 kanāls 3: 0000aabb
# MAC galamērķa adrese 5_0 3. kanāls: 778899aa
# MAC galamērķa adrese 5_1 kanāls 3: 0000 bbcc
# MAC galamērķa adrese 6_0 kanāls 3: 8899aabb
# MAC galamērķa adrese 6_1 kanāls 3: 0000ccdd
# MAC galamērķa adrese 7_0 3. kanāls: 99aabbcc
# MAC galamērķa adrese 7_1 kanāls 3: 0000ddee
# eCPRI kopējais vadības kanāls 3: 00000041
# Iespējot pārtraukuma eCPRI kopējo vadības kanālu 3: 00000241
# eCPRI versija Kanāls 3: 2
# Gaida, līdz CPRI sasniegs HSYNC savienojuma stāvokli
# CPRI kanāls 0 sasniegts HSYNC stāvoklis
# CPRI kanāls 1 sasniegts HSYNC stāvoklis
# CPRI kanāls 2 sasniegts HSYNC stāvoklis
# CPRI kanāls 3 sasniegts HSYNC stāvoklis
# 11100250000 Rakstiet 1 uz nego_bitrate_complete
# 11100650000 Aptaujas PROT_VER 0. kanāls
# ___________________________________________________________________
# 11100850000 Vēlēšanu reģistrs: a0000010
# ___________________________________________________________________
# 13105050000 Aptaujas PROT_VER 1. kanāls
# ___________________________________________________________________
# 13105250000 Vēlēšanu reģistrs: a0800010
# ___________________________________________________________________
# 13105950000 Aptaujas PROT_VER 2. kanāls
# ___________________________________________________________________
# 13106150000 Vēlēšanu reģistrs: a1000010
# ___________________________________________________________________
# 13106850000 Aptaujas PROT_VER 3. kanāls
# ___________________________________________________________________
# 13107050000 Vēlēšanu reģistrs: a1800010
# ___________________________________________________________________
# 13107750000 Nego_protol_complete ierakstiet 1
# 13108150000 Aptaujas CM_STATUS.rx_fast_cm_ptr_valid 0. kanāls
# ___________________________________________________________________
# 13108350000 Vēlēšanu reģistrs: a0000020
# ___________________________________________________________________
# 14272050000 Aptaujas CM_STATUS.rx_fast_cm_ptr_valid 1. kanāls
# ___________________________________________________________________
# 14272250000 Vēlēšanu reģistrs: a0800020
# ___________________________________________________________________
# 14272950000 Aptaujas CM_STATUS.rx_fast_cm_ptr_valid 2. kanāls
# ___________________________________________________________________
# 14273150000 Vēlēšanu reģistrs: a1000020
# ___________________________________________________________________
# 14273850000 Aptaujas CM_STATUS.rx_fast_cm_ptr_valid 3. kanāls
# ___________________________________________________________________
# 14274050000 Vēlēšanu reģistrs: a1800020
# ___________________________________________________________________
# 14274750000 Nego_cm_complete ierakstiet 1
# 14275150000 Rakstiet 1 uz nego_vss_complete
# Gaida, kad CPRI kanāls 0 sasniegs HSYNC un palaišanas secību FSM STATE_F
# CPRI kanāls 0 HSYNC un palaišanas secība FSM STATE_F sasniegta
# Gaida, kad CPRI kanāls 1 sasniegs HSYNC un palaišanas secību FSM STATE_F
# CPRI kanāls 1 HSYNC un palaišanas secība FSM STATE_F sasniegta
# Gaida, kad CPRI kanāls 2 sasniegs HSYNC un palaišanas secību FSM STATE_F
# CPRI kanāls 2 HSYNC un palaišanas secība FSM STATE_F sasniegta
# Gaida, kad CPRI kanāls 3 sasniegs HSYNC un palaišanas secību FSM STATE_F
# CPRI kanāls 3 HSYNC un palaišanas secība FSM STATE_F sasniegta
# ___________________________________________________________________
# INFO: ārpus atiestatīšanas statusa
# ___________________________________________________________________
#
#
# Kanāls 0 eCPRI TX SOP skaits: 0
# 0. kanāls eCPRI TX EOP skaits: 0
# Kanāls 0 eCPRI RX SOP skaits: 0
# Kanāls 0 eCPRI RX EOP skaits: 0
# Kanāls 0 Ārējo PTP TX SOP skaits: 0
# Kanāls 0 Ārējo PTP TX EOP skaits: 0
# Kanāls 0 Ārējo MISC TX SOP skaits: 0
# Kanāls 0 Ārējo MISC TX EOP skaits: 0
# Kanāls 0 Ārējo RX SOP skaits: 0
# Kanāls 0 Ārējo RX EOP skaits: 0
# Kanāls 1 eCPRI TX SOP skaits: 0
# 1. kanāls eCPRI TX EOP skaits: 0
# Kanāls 1 eCPRI RX SOP skaits: 0
# Kanāls 1 eCPRI RX EOP skaits: 0
# Kanāls 1 Ārējo PTP TX SOP skaits: 0
# Kanāls 1 Ārējo PTP TX EOP skaits: 0
# Kanāls 1 Ārējo MISC TX SOP skaits: 0
# Kanāls 1 Ārējo MISC TX EOP skaits: 0
# Kanāls 1 Ārējo RX SOP skaits: 0
# Kanāls 1 Ārējo RX EOP skaits: 0
# Kanāls 2 eCPRI TX SOP skaits: 0
# 2. kanāls eCPRI TX EOP skaits: 0
# Kanāls 2 eCPRI RX SOP skaits: 0
# Kanāls 2 eCPRI RX EOP skaits: 0
# Kanāls 2 Ārējo PTP TX SOP skaits: 0
# Kanāls 2 Ārējo PTP TX EOP skaits: 0
# Kanāls 2 Ārējo MISC TX SOP skaits: 0
# Kanāls 2 Ārējo MISC TX EOP skaits: 0
# Kanāls 2 Ārējo RX SOP skaits: 0
# Kanāls 2 Ārējo RX EOP skaits: 0
# Kanāls 3 eCPRI TX SOP skaits: 0
# 3. kanāls eCPRI TX EOP skaits: 0
# Kanāls 3 eCPRI RX SOP skaits: 0
# Kanāls 3 eCPRI RX EOP skaits: 0
# Kanāls 3 Ārējo PTP TX SOP skaits: 0
# Kanāls 3 Ārējo PTP TX EOP skaits: 0
# Kanāls 3 Ārējo MISC TX SOP skaits: 0
# Kanāls 3 Ārējo MISC TX EOP skaits: 0
# Kanāls 3 Ārējo RX SOP skaits: 0
# Kanāls 3 Ārējo RX EOP skaits: 0
# ___________________________________________________________________
# INFORMĀCIJA: sāciet pakešu pārsūtīšanu
# ___________________________________________________________________
#
#
# INFORMĀCIJA: tiek gaidīta 0. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 0. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 0 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 0 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 0 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 0 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 1. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 1. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 1 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 1 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 1 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 1 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 2. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 2. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 2 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 2 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 2 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 2 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta 3. kanāla eCPRI TX trafika pārsūtīšanas pabeigšana
# INFORMĀCIJA: 3. kanāla eCPRI TX trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: tiek gaidīta kanāla 3 eCPRI ārējā TX PTP trafika pārsūtīšana uz
pabeigt
# INFORMĀCIJA: Kanāls 3 eCPRI Ārējā TX PTP trafika pārsūtīšana ir pabeigta
# INFORMĀCIJA: gaida kanāla 3 eCPRI ārējo TX Dažādu trafika pārsūtīšanu uz
pabeigt
# INFORMĀCIJA: Kanāls 3 eCPRI Ārējais TX Dažādas trafika pārsūtīšana ir pabeigta
# ___________________________________________________________________
# INFORMĀCIJA: pārtrauciet pakešu pārsūtīšanu
# ___________________________________________________________________
#
#
# ___________________________________________________________________
# INFO: Pakešu statistikas pārbaude
# ___________________________________________________________________
#
#
# 0. kanāls eCPRI SOP pārraidīts: 50
# 0. kanāls eCPRI EOP pārraidīts: 50
# 0. kanālam saņemtie eCPRI SOP: 50
# 0. kanālā saņemtie eCPRI EOP: 50
# 0. kanāls. Ziņots par eCPRI kļūdu: 0
# 0. kanāls. Ārējie PTP SOP pārraidīti: 4
# 0. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 0. kanāls Ārējie MISC SOP pārraidīti: 128
# 0. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 0. kanāls saņemti ārējie SOP: 132
# 0. kanāls saņemti ārējie EOP: 132
# 0. kanāls saņemtie ārējie PTP SOP: 4
# 0. kanāls saņemtie ārējie PTP EOP: 4
# 0. kanāls saņemti ārējie MISC SOP: 128
# 0. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 0. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 0 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 1. kanāls eCPRI SOP pārraidīts: 50
# 1. kanāls eCPRI EOP pārraidīts: 50
# 1. kanālam saņemtie eCPRI SOP: 50
# 1. kanālā saņemtie eCPRI EOP: 50
# 1. kanāls. Ziņots par eCPRI kļūdu: 0
# 1. kanāls. Ārējie PTP SOP pārraidīti: 4
# 1. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 1. kanāls Ārējie MISC SOP pārraidīti: 128
# 1. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 1. kanāls saņemti ārējie SOP: 132
# 1. kanāls saņemti ārējie EOP: 132
# 1. kanāls saņemtie ārējie PTP SOP: 4
# 1. kanāls saņemtie ārējie PTP EOP: 4
# 1. kanāls saņemti ārējie MISC SOP: 128
# 1. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 1. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 1 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 2. kanāls eCPRI SOP pārraidīts: 50
# 2. kanāls eCPRI EOP pārraidīts: 50
# 2. kanālam saņemtie eCPRI SOP: 50
# 2. kanālā saņemtie eCPRI EOP: 50
# 2. kanāls. Ziņots par eCPRI kļūdu: 0
# 2. kanāls. Ārējie PTP SOP pārraidīti: 4
# 2. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 2. kanāls Ārējie MISC SOP pārraidīti: 128
# 2. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 2. kanāls saņemti ārējie SOP: 132
# 2. kanāls saņemti ārējie EOP: 132
# 2. kanāls saņemtie ārējie PTP SOP: 4
# 2. kanāls saņemtie ārējie PTP EOP: 4
# 2. kanāls saņemti ārējie MISC SOP: 128
# 2. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 2. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 2 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# 3. kanāls eCPRI SOP pārraidīts: 50
# 3. kanāls eCPRI EOP pārraidīts: 50
# 3. kanālam saņemtie eCPRI SOP: 50
# 3. kanālā saņemtie eCPRI EOP: 50
# 3. kanāls. Ziņots par eCPRI kļūdu: 0
# 3. kanāls. Ārējie PTP SOP pārraidīti: 4
# 3. kanāls. Pārsūtītās ārējās PTP EOP: 4
# 3. kanāls Ārējie MISC SOP pārraidīti: 128
# 3. kanāls. Ārējie DAŽĀDI EOP pārraidīti: 128
# 3. kanāls saņemti ārējie SOP: 132
# 3. kanāls saņemti ārējie EOP: 132
# 3. kanāls saņemtie ārējie PTP SOP: 4
# 3. kanāls saņemtie ārējie PTP EOP: 4
# 3. kanāls saņemti ārējie MISC SOP: 128
# 3. kanāls saņemti ārējie DAŽĀDI EOP: 128
# 3. kanāls Ziņots par ārējo kļūdu: 0
# Kanāls 3 Ārējais laiksamp Ziņots par pirkstu nospiedumu kļūdu: 0
# ___________________________________________________________________
# INFORMĀCIJA: tests IZCELTS
#
# ___________________________________________________________________

1.4.1. Dinamiskās pārkonfigurācijas iespējošana uz Ethernet IP
Pēc noklusējuma dinamiskā pārkonfigurācija ir atspējota eCPRI IP dizainā, piemēramample un tas ir piemērojams tikai Intel Stratix 10 (E-tile un H-tile) un Intel Agilex 7 (E-tile) dizainiem, examples.

  1. Ģenerētajā failā test_wrapper.sv meklējiet šādu rindiņuample_dir>/simulation/testbench direktorijs: parametrs ETHERNET_DR_EN = 0
  2. Mainiet vērtību no 0 uz 1: parametrs ETHERNET_DR_EN = 1
  3. Atkārtoti palaidiet simulāciju, izmantojot to pašu ģenerēto example dizaina direktoriju.

1.5. Tikai kompilācijas projekta sastādīšana
Lai apkopotu tikai apkopojumu exampprojektā, rīkojieties šādi:

  1. Nodrošināt kompilācijas dizainu, piemamppaaudze ir pabeigta.
  2. Programmatūrā Intel Quartus Prime Pro Edition atveriet projektu Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Izvēlnē Apstrāde noklikšķiniet uz Sākt kompilāciju.
  4. Pēc veiksmīgas apkopošanas jūsu Intel Quartus Prime Pro Edition sesijā ir pieejami pārskati par laiku un resursu izmantošanu. Dodieties uz Apstrāde ➤ Kompilācijas atskaite uz view detalizētu ziņojumu par apkopošanu.
    Saistītā informācija
    Uz blokiem balstītas dizaina plūsmas

1.6. Dizaina Ex. kompilēšana un konfigurēšanaample aparatūrā
Lai apkopotu aparatūras dizainu, piemample un konfigurējiet to savā Intel ierīcē, veiciet šīs darbības:

  1. Nodrošiniet aparatūras dizainu, piemēram,amppaaudze ir pabeigta.
  2. Programmatūrā Intel Quartus Prime Pro Edition atveriet projektu Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Izvēlnē Apstrāde noklikšķiniet uz Sākt kompilāciju.
  4. Pēc veiksmīgas apkopošanas .sof file ir pieejamsample_dir>/ synthesis/quartus/output_files direktoriju. Veiciet šīs darbības, lai programmētu aparatūras dizainu, piemēram,ampIntel FPGA ierīcē:
    a. Savienojiet izstrādes komplektu ar saimniekdatoru.
    b. Palaidiet lietojumprogrammu Clock Control, kas ir daļa no izstrādes komplekta, un iestatiet jaunās frekvences dizainam, piemēram,ample. Tālāk ir norādīts frekvences iestatījums lietojumprogrammā Clock Control:
    • Ja savu dizainu mērķējat uz Intel Stratix 10 GX SI izstrādes komplektu:
    — U5, OUT8- 100 MHz
    — U6, OUT3- 322.265625 MHz
    — U6, OUT4 un OUT5 - 307.2 MHz
    • Ja mērķējat savu dizainu uz Intel Stratix 10 TX SI izstrādes komplektu:
    — U1, CLK4- 322.265625 MHz (25G datu pārraides ātrumam)
    — U6 — 156.25 MHz (10 G datu pārraides ātrumam)
    — U3, OUT3- 100 MHz
    — U3, OUT8- 153.6 MHz
    • Ja mērķējat savu dizainu uz Intel Agilex 7 F sērijas raiduztvērēja-SoC izstrādes komplektu:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Ja mērķējat savu dizainu uz Intel Arria 10 GX SI izstrādes komplektu:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. Izvēlnē Rīki noklikšķiniet uz Programmētājs.
    d. Programmētājā noklikšķiniet uz Aparatūras iestatīšana.
    e. Izvēlieties programmēšanas ierīci.
    f. Atlasiet un pievienojiet izstrādes komplektu, ar kuru var izveidot savienojumu ar jūsu Intel Quartus Prime Pro Edition sesiju.
    g. Pārliecinieties, vai režīms ir iestatīts uz JTAG.
    h. Atlasiet ierīci un noklikšķiniet uz Pievienot ierīci. Programmētājs parāda blokshēmu par savienojumiem starp jūsu plates ierīcēm.
    i. Ielādējiet failu .sof file uz jūsu attiecīgo Intel FPGA ierīci.
    j. Ielādēt izpildāmā un saistīšanas formātu (.elf) file uz jūsu Intel Stratix 10 vai
    Intel Agilex 7 ierīce, ja plānojat veikt dinamisko pārkonfigurāciju (DR), lai pārslēgtu datu pārraides ātrumu starp 25G un 10G. Izpildiet norādījumus programmā Izpildāmā un saistīšanas formāta (.elf) ģenerēšana un lejupielāde. File 38. lappusē, lai ģenerētu .elf file.
    k. Rindā ar .sof failu atzīmējiet izvēles rūtiņu Programmēšana/konfigurēšana file.
    l. Noklikšķiniet uz Sākt.

Saistītā informācija

  • Uz blokiem balstīts dizains
  • Intel Quartus Prime programmētāja lietotāja rokasgrāmata
  • Dizainu analīze un atkļūdošana, izmantojot sistēmas konsoli
  • Intel Agilex 7 F sērijas raiduztvērēja-SoC izstrādes komplekta lietotāja rokasgrāmata
  • Intel Stratix 10 GX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata
  • Intel Stratix 10 TX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata
  • Intel Arria 10 GX raiduztvērēja signāla integritātes izstrādes komplekta lietotāja rokasgrāmata

1.7. eCPRI Intel FPGA IP Design Ex testēšanaample
Pēc eCPRI Intel FPGA IP kodola dizaina kompilēšanas, piemēram,ample un konfigurēt to savā Intel FPGA ierīcē, varat izmantot sistēmas konsoli, lai programmētu IP kodolu un tā iegultos Native PHY IP kodola reģistrus.
Lai ieslēgtu sistēmas konsoli un pārbaudītu aparatūras dizainu, piemēram,ample, veiciet šīs darbības:

  1. Pēc aparatūras dizaina example ir konfigurēts Intel ierīcē, programmatūras Intel Quartus Prime Pro Edition izvēlnē Rīki noklikšķiniet uz Sistēmas atkļūdošanas rīki ➤ Sistēmas konsole.
  2. Tcl konsoles rūtī mainiet direktoriju uzample_dir>/ synthesis/quartus/hardware_test un ierakstiet šo komandu, lai atvērtu savienojumu ar JTAG apgūt un sākt testu:
    • avots ecpri_agilex.tcl Intel Agilex 7 dizainiem
    • avots ecpri_s10.tcl Intel Stratix 10 dizainiem
    • avots ecpri_a10.tcl Intel Arria 10 dizainiem
  3. Intel Stratix 10 vai Intel Agilex 7 E-tile ierīces variantiem vienreiz pēc .sof programmēšanas ir jāveic iekšējā vai ārējā cilpas komanda. file:
    a. Modificēt mainīgo TEST_MODE plūsmā.c file lai izvēlētos cilpas režīmu:
    TESTA REŽĪMS Darbība
    0 Sērijas cilpas iespējošana tikai simulācijai
    1 Sērijas cilpas iespējošana tikai aparatūrai
    2 Seriālā cilpa un kalibrēšana
    3 Tikai kalibrēšana

    Ikreiz, kad maināt flow.c, NIOS II programmatūra ir jāpārkompilē un jāreģenerē file.
    b. Atjaunojiet .elfu file un ieprogrammējiet to vēl vienu reizi un pārprogrammējiet .sof file.

  4. Pārbaudiet dizaina darbību, izmantojot sistēmas konsoles skriptā atbalstītās komandas. Sistēmas konsoles skripts nodrošina noderīgas komandas statistikas lasīšanai un funkcijas, kas ļauj noformēt.

4. tabula. Sistēmas konsoles skripta komandas

Komanda Apraksts
cilpa_ieslēgts Iespējo iekšējo seriālo cilpu no TX uz RX. Izmantojiet tikai Intel Stratix 10 H-tile un Intel Arria 10 ierīcēm.
cilpa_izslēgts Atspējo TX uz RX iekšējo seriālo cilpu. Izmantojiet tikai Intel Stratix 10 H-tile un Intel Arria 10 ierīcēm.
saite _ init _ int _1pbk Iespējo TX uz RX iekšējo seriālo cilpu raiduztvērējā un veic raiduztvērēja kalibrēšanas plūsmu. Attiecas tikai uz Intel Stratix 10 E-tile un Intel Agilex 7 E-tile dizainu.
saite _ init _ ext _1pbk Iespējo TX uz RX ārējo cilpu un veic raiduztvērēja kalibrēšanas plūsmu. Attiecas tikai uz Intel Stratix 10 E-tile un Intel Agilex 7 E-tile dizainu.
satiksmes gen atslēgt Atspējo satiksmes ģeneratoru un pārbaudītāju.
chkmac statistika Parāda Ethernet MAC statistiku.
lasīt_ testa_ statistiku Parādiet kļūdu statistiku satiksmes ģeneratoram un pārbaudītājiem.
ext _ nepārtraukts _ režīms _lv Atiestata visu projektēšanas sistēmu un ļauj trafika ģeneratoram ģenerēt nepārtrauktas trafika paketes.
dr _ 25g _ līdz _ lOg _etile Pārslēdz Ethernet MAC datu pārraides ātrumu no 25G uz 10G. Izmantojiet tikai Intel Stratix 10 E-tile un Intel Agilex 7 E-tile ierīcēm.
dr_25g_to_10g_htile Pārslēdz Ethernet MAC datu pārraides ātrumu no 25G uz 10G. Izmantojiet tikai H veida flīžu ierīcēm
dr_10g_to_25g_etile Pārslēdz Ethernet MAC datu pārraides ātrumu no 10G uz 25G. Izmantojiet tikai Intel Stratix 10 E-tile un Intel Agilex 7 E-tile ierīcēm.
dr _ 25g _ līdz _ lOg _htile Pārslēdz Ethernet MAC datu pārraides ātrumu no 10G uz 25G. Izmantojiet tikai H veida flīžu ierīcēm.

Sekojošie sampizvade ilustrē veiksmīgu testa darbību:
Sistēmas konsoles izdruka (kanālu skaits = 1)
Kanāls 0 EXT PTP TX SOP skaits: 256
Kanāls 0 EXT PTP TX EOP skaits: 256
Kanāls 0 EXT MISC TX SOP skaits: 36328972
Kanāls 0 EXT MISC TX EOP skaits: 36369511
Kanāls 0 EXT RX SOP skaits: 36410364
0. kanāls EXT RX EOP skaits: 36449971
Kanāla 0 EXT pārbaudītāja kļūdas: 0
0. kanāla EXT pārbaudītāja kļūdu skaits: 0
Kanāls 0 EXT PTP pirkstu nospiedumu kļūdas: 0
0. kanāls EXT PTP pirkstu nospiedumu kļūdu skaits: 0
0. kanāla TX SOP skaits: 1337760
0. kanāla TX EOP skaits: 1339229
Kanāls 0 RX SOP skaits: 1340728
Kanāla 0 RX EOP skaits: 1342555
0. kanāla pārbaudītāja kļūdas: 0
0. kanāla pārbaudītāja kļūdu skaits: 0

==============================================================================
==============
ETHERNET MAC STATISTIKA 0. kanālam (Rx)

==============================================================================
==============
Sadrumstaloti kadri: 0
Saspīlēti rāmji: 0
Pareizais izmērs ar FCS Err rāmjiem: 0
Multiraides datu kļūdu kadri: 0
Apraides datu kļūdu kadri: 0
Unicast datu kļūdu kadri: 0
64 baitu kadri: 3641342
65–127 baitu kadri: 0
128–255 baitu kadri: 37404809
256–511 baitu kadri: 29128650
512–1023 baitu kadri: 0
1024–1518 baitu kadri: 0
1519 — MAKS. baitu kadri: 0
> MAX baitu kadri: 0
Multiraides dati OK Rāmis: 70174801
Apraides dati OK Kadrs: 0
Unicast dati OK Rāmji: 0
Multiraides vadības kadri: 0
Apraides vadības kadri: 0
Unicast vadības rāmji: 0
Pauzes vadības kadri: 0
Kravas okteti OK: 11505935812
Rāmja okteti OK: 12918701444
Rx maksimālais kadra garums: 1518
Jebkurš izmērs ar FCS Err rāmi: 0
Multiraides vadības kļūdas rāmis: 0
Apraides vadības kļūdas rāmis: 0
Unicast vadības kļūdu kadri: 0
Pauzes kontrole Err Frames: 0
Rx rāmja sākuma numurs: 70174801

Tālāk ir norādīts sampizvade 25G līdz 10G DR testa palaišanai:
Sistēmas konsoles izdruka (25 G līdz 10 G DR E-tile)

Sāciet dinamisko pārkonfigurāciju Ethernet 25G —> 10G
DR Veiksmīgi 25G -> 10G
RX PHY reģistra piekļuve: pulksteņa frekvences (KHz) pārbaude
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY statusa aptauja
Rx frekvences bloķēšanas statuss 0x0000000f
Vai Mac pulkstenis ir kārtībā? 0x00000001
Rx rāmja kļūda? 0x00000000
Rx PHY ir pilnībā izlīdzināts? 0x00000001
Aptaujas RX PHY kanāls 0
RX PHY Channel 0 ir izveidots un darbojas!

Sistēmas konsoles izdruka (25 G līdz 10 G DR H-tile)
Sāciet dinamisko pārkonfigurāciju Ethernet 25G —> 10G
DR Veiksmīgi 25G -> 10G
RX PHY reģistra piekļuve: pulksteņa frekvences (KHz) pārbaude
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY statusa aptauja
Rx frekvences bloķēšanas statuss 0x00000001
Vai Mac pulkstenis ir kārtībā? 0x00000007
Rx rāmja kļūda? 0x00000000
Rx PHY ir pilnībā izlīdzināts? 0x00000001
Aptaujas RX PHY kanāls 0
RX PHY Channel 0 ir izveidots un darbojas!

Sistēmas konsoles izdruka (10 G līdz 25 G DR E-tile)
Sāciet dinamisko pārkonfigurāciju Ethernet 10G —> 25G
DR Veiksmīgi 10G -> 25G
RX PHY reģistra piekļuve: pulksteņa frekvences (KHz) pārbaude
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY statusa aptauja
Rx frekvences bloķēšanas statuss 0x0000000f
Vai Mac pulkstenis ir kārtībā? 0x00000001
Rx rāmja kļūda? 0x00000000
Rx PHY ir pilnībā izlīdzināts? 0x00000001
Aptaujas RX PHY kanāls 0
RX PHY Channel 0 ir izveidots un darbojas!

Sistēmas konsoles izdruka (10 G līdz 25 G DR H-tile)
Sāciet dinamisko pārkonfigurāciju Ethernet 10G —> 25G
DR Veiksmīgi 10G -> 25G
RX PHY reģistra piekļuve: pulksteņa frekvences (KHz) pārbaude
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY statusa aptauja
Rx frekvences bloķēšanas statuss 0x00000001
Vai Mac pulkstenis ir kārtībā? 0x00000007
Rx rāmja kļūda? 0x00000000
Rx PHY ir pilnībā izlīdzināts? 0x00000001
Aptaujas RX PHY kanāls 0
RX PHY Channel 0 ir izveidots un darbojas!

Dizains Piemample Apraksts

Dizains example demonstrē eCPRI IP kodola pamata funkcionalitāti. Jūs varat ģenerēt dizainu no Example Design cilne eCPRI IP parametru redaktorā.

2.1. Funkcijas

  • Iekšējais TX un RX seriālās cilpas režīms
  • Automātiski ģenerē fiksēta izmēra paketes
  • Pamata pakešu pārbaudes iespējas
  • Iespēja izmantot sistēmas konsoli, lai pārbaudītu dizainu un atiestatītu dizainu atkārtotas testēšanas nolūkos

2.2. Aparatūras dizains Piemample
5. attēls. Blokshēma Intel Agilex 7 F-tile modeļiemeCPRI Intel FPGA IP dizains — 5. attēls

Intel korporācija. Visas tiesības aizsargātas. Intel, Intel logotips un citas Intel preču zīmes ir Intel Corporation vai tās meitasuzņēmumu preču zīmes. Intel garantē savu FPGA un pusvadītāju produktu veiktspēju atbilstoši pašreizējām specifikācijām saskaņā ar Intel standarta garantiju, taču patur tiesības jebkurā laikā bez brīdinājuma veikt izmaiņas jebkuros produktos un pakalpojumos. Intel neuzņemas nekādu atbildību vai saistības, kas izriet no jebkādas šeit aprakstītās informācijas, produkta vai pakalpojuma lietojuma vai izmantošanas, izņemot gadījumus, kad Intel ir nepārprotami rakstiski piekritis. Intel klientiem ir ieteicams iegūt jaunāko ierīces specifikāciju versiju, pirms paļauties uz jebkādu publicētu informāciju un pirms preču vai pakalpojumu pasūtījumu veikšanas. *Citi nosaukumi un zīmoli var tikt uzskatīti par citu personu īpašumiem.

6. attēls. Blokshēma Intel Agilex 7 E-tile modeļiemeCPRI Intel FPGA IP dizains — 6. attēls7. attēls. Blokshēma Intel Stratix 10 modeļiemeCPRI Intel FPGA IP dizains — 7. attēls

8. attēls. Blokshēma Intel Arria 10 modeļiemeCPRI Intel FPGA IP dizains — 8. attēlseCPRI Intel FPGA IP kodola aparatūras dizains, piemample ietver šādas sastāvdaļas:
eCPRI Intel FPGA IP
Pieņem datus no datplūsmas ģeneratoriem, kas izveidoti testa aptinumā, un nosaka datu prioritāti pārsūtīšanai uz Ethernet IP.

Ethernet IP

  • F-tile Ethernet Intel FPGA cietais IP (Intel Agilex 7 F-tile dizains)
  • E-tile Hard IP Ethernet (Intel Stratix 10 vai Intel Agilex 7 E-tile modeļi)
  • 25 G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H flīžu modeļi)
  • Zema latentuma Ethernet 10G MAC IP un 1G/10GbE un 10GBASE-KR PHY IP (Intel Arria 10 modeļi)

Precīzijas laika protokols (PTP) IO PLL
Intel Stratix 10 H-tile dizainiem — momentāni, lai ģenerētu latentuma mērījumu ievades atsauces pulksteni Ethernet IP un s.ampdiennakts laika (TOD) apakšsistēmas pulkstenis. 25G Ethernet Intel Stratix 10 FPGA IP ar IEEE 1588v2 funkciju Intel iesaka iestatīt šī pulksteņa frekvenci uz 156.25 MHz. Papildinformāciju skatiet 25G Ethernet Intel Stratix 10 FPGA IP lietotāja rokasgrāmatā un Intel Stratix 10 H-tile raiduztvērēja PHY lietotāja rokasgrāmatā. PTP IOPLL arī ģenerē atsauces pulksteni eCPRI IO PLL kaskādes veidā.
Intel Arria 10 dizainparaugiem — izveidots, lai ģenerētu 312.5 MHz un 156.25 MHz pulksteņa ieejas zema latentuma Ethernet 10G MAC IP un 1G/10GbE, 10GBASE-KR PHY IP un eCPRI IP.

eCPRI IO PLL
Ģenerē 390.625 MHz pamata pulksteņa izvadi eCPRI IP TX un RX ceļam un trafika komponentiem.
Piezīme: Šis bloks ir tikai dizainā, piemample ģenerēts Intel Stratix 10 un Intel Agilex 7 ierīcēm.

Piezīme: Pašreizējā eCPRI Intel FPGA IP versija atbalsta tikai IWF tipu 0. Intel Agilex 7 F-tile ierīcēm dizains example iespējots ar IWF funkciju, netiek atbalstīts.
Kad jūs ģenerējat dizainu, piemampJa ir izslēgts Interworking Function (IWF) atbalsta parametrs, pakešu trafiks plūst tieši no testa iesaiņojuma moduļa uz Avalon-ST avota/izlietnes interfeisu un ārējo avota/izlietnes interfeisu eCPRI IP.
Kad jūs ģenerējat dizainu, piemampJa ir ieslēgts Interworking Function (IWF) atbalsta parametrs, pakešu trafiks vispirms plūst uz IWF Avalon-ST izlietnes saskarni no testa iesaiņojuma moduļa un no IWF Avalon-ST avota saskarnes uz eCPRI Avalon-ST avotu/izlietni. saskarne.
CPRI MAC
Nodrošina 1. slāņa CPRI daļu un pilnu 2. slāņa protokolu lietotāja plaknes, C&M un sinhronizācijas informācijas pārsūtīšanai starp REC un RE, kā arī starp diviem RE,
CPRI PHY
Nodrošina atlikušo CPRI 1. slāņa protokola daļu līniju kodēšanai, bitu kļūdu labošanai/atklāšanai utt.

Piezīme: CPRI MAC un CPRI PHY IP, kas instantiēts šajā dizainā, piemample ir konfigurēti darboties tikai ar vienas CPRI līnijas ātrumu 9.8 Gbps. Dizains example neatbalsta līnijas ātruma automātisko pārrunāšanu pašreizējā laidienā.

Testa iesaiņojums
Sastāv no trafika ģeneratoriem un pārbaudītājiem, kas ģenerē dažādu datu pakešu kopu eCPRI IP Avalon Streaming (Avalon-ST) saskarnēm, kā norādīts tālāk:

  • eCPRI paketes uz Avalon-ST avota/izlietnes saskarnēm (IWF funkcija ir atspējota):
    — atbalsta tikai 2. ziņojuma veidu.
    — Atkārtota režīma ģenerēšana ar pakāpenisku modeļa režīma ģenerēšanu un lietderīgās slodzes lielumu 72 baiti katrai paketei.
    — Konfigurējams, izmantojot CSR, lai darbotos nepārtrauktā vai nepārtrauktā režīmā.
    — TX/RX pakešu statistikas statuss, kas pieejams, izmantojot CSR.
  • eCPRI paketes uz Avalon-ST avota/izlietnes saskarnēm (IWF funkcija iespējota):
    — Pašreizējā laidienā atbalsta tikai ziņojuma veidu 0.
    — Inkrementāla modeļa režīma ģenerēšana ar starppakešu spraugas ģenerēšanu un lietderīgās slodzes lielumu 240 baiti katrai paketei.
    — Konfigurējams, izmantojot CSR, lai darbotos nepārtrauktā vai nepārtrauktā režīmā.
    — TX/RX pakešu statistikas statuss, kas pieejams, izmantojot CSR.
  • Precision Time Protocol (1588 PTP) pakete un dažādas paketes, kas nav PTP, ārējā avota/izlietnes saskarnēm:
    — Statiskās Ethernet galvenes ģenerēšana ar iepriekš definētiem parametriem: Ethertype0x88F7, ziņojuma veids- Opcode 0 (Sync) un PTP version-0.
    — Iepriekš definēta modeļa režīma ģenerēšana ar 2 ciklu starppakešu atstarpi un lietderīgās slodzes lielumu 57 baiti katrai paketei.
    — katras sekundes laikā tiek ģenerētas 128 paketes.
    — Konfigurējams, izmantojot CSR, lai darbotos nepārtrauktā vai nepārtrauktā režīmā.
    — TX/RX pakešu statistikas statuss, kas pieejams, izmantojot CSR.
  • Dažādas ārējās paketes, kas nav PTP:
    — Statiskās Ethernet galvenes ģenerēšana ar iepriekš definētu parametru, Ethertype-0x8100 (nav PTP).
    — PRBS modeļa režīma ģenerēšana ar 2 ciklu starppakešu atstarpi un lietderīgās slodzes lielumu 128 baiti katrai paketei.
    — Konfigurējams, izmantojot CSR, lai darbotos nepārtrauktā vai nepārtrauktā režīmā.
    — TX/RX pakešu statistikas statuss, kas pieejams, izmantojot CSR.

Diennakts laika (TOD) apakšsistēma
Ietver divus IEEE 1588 TOD moduļus gan TX, gan RX, un vienu IEEE 1588 TOD sinhronizatora moduli, ko ģenerē Intel Quartus Prime programmatūra.
Nios® II apakšsistēma
Sastāv no Avalon-MM tilta, kas nodrošina Avalon-MM datu arbitrāžu starp Nios II procesoru, testa apvalku un Avalon® -MM adrešu dekodera blokiem.
Nios II ir atbildīgs par datu pārraides ātruma pārslēgšanu, pamatojoties uz testa iesaiņojuma rate_switch reģistra vērtības izvadi. Šis bloks ieprogrammē nepieciešamo reģistru, tiklīdz tas saņem komandu no testa iesaiņojuma.

Piezīme: šī bloka dizainā nav, piemample radīts Intel Arria 10 un Intel Agilex 7 F-tile ierīcēm.
Sistēmas konsole
Nodrošina lietotājam draudzīgu saskarni, lai jūs varētu veikt pirmā līmeņa atkļūdošanu un pārraudzīt IP statusu, kā arī trafika ģeneratorus un pārbaudītājus.
Demo kontrole
Šis modulis sastāv no atiestatīšanas sinhronizatora moduļiem un sistēmas avota un zondes (ISSP) moduļiem, kas paredzēti sistēmas atkļūdošanas un inicializācijas procesam.

Saistītā informācija

  • 25G Ethernet Intel Stratix 10 FPGA IP lietotāja rokasgrāmata
  • E-tile Hard IP lietotāja rokasgrāmata
  • eCPRI Intel FPGA IP lietotāja rokasgrāmata
  • 25G Ethernet Intel Stratix 10 FPGA IP dizains Example Lietotāja rokasgrāmata
  • E-tile Hard IP Intel Stratix 10 Design Examples Lietotāja rokasgrāmata
  • Intel Stratix 10 L- un H-Tile raiduztvērēja PHY lietotāja rokasgrāmata
  • E-Tile raiduztvērēja PHY lietotāja rokasgrāmata
  • Intel Stratix 10 10GBASE-KR PHY IP lietotāja rokasgrāmata
  • E-tile Hard IP Intel Agilex Design Example Lietotāja rokasgrāmata

2.3. Simulācijas dizains Piemample
eCPRI dizains, piemēram,ample ģenerē simulācijas testbandu un simulāciju files, kas veido eCPRI Intel FPGA IP kodolu, kad atlasāt opciju Simulācija vai Sintēze un simulācija.

9. attēls. eCPRI Intel FPGA IP simulācijas blokshēmaeCPRI Intel FPGA IP dizains — 9. attēls

Piezīme: Nios II apakšsistēmas bloks projektā nav iekļauts, piemēramample radīts Intel Arria 10 un Intel Agilex 7 F-tile ierīcēm.
Šajā dizainā example, simulācijas testa stends nodrošina pamata funkcionalitāti, piemēram, startēšanu un bloķēšanas gaidīšanu, pakešu pārsūtīšanu un saņemšanu.

Veiksmīga testa palaišana parāda izvadi, kas apstiprina šādu darbību:

  1. Klienta loģika atiestata IP kodolu.
  2. Klienta loģika gaida RX datu ceļa izlīdzināšanu.
  3. Klienta loģika pārraida paketes Avalon-ST saskarnē.
  4. Saņem un pārbauda pakešu saturu un pareizību.
  5. Parādiet ziņojumu “Test PASSED”.

2.4. Interfeisa signāli
5. tabula. Dizains Piemample Interfeisa signāli

Signāls Virziens Apraksts
clk_ref Ievade Atsauces pulkstenis Ethernet MAC.
• Intel Stratix 10 E-tile, Intel Agilex 7 E-tile un F-tile modeļiem, 156.25 MHz pulksteņa ieeja E-tile Ethernet cietajam IP kodolam vai F-tile Ethernet cietajam IP kodolam. Izveidojiet savienojumu ar i_clk_ref[0] Ethernet cietajā IP.
• Intel Stratix 10 H tile dizainiem 322.2625 MHz pulksteņa ieeja raiduztvērējam ATX PLL un 25G Ethernet IP. Izveidojiet savienojumu ar pll_refclk0[0] raiduztvērēja ATX PLL un clk_ref[0] 25G Ethernet IP.
• Intel Arria 10 dizainiem 322.265625 MHz pulksteņa ieeja raiduztvērējam ATX PLL un 1G/10GbE un 10GBase-KR PHY IP. Izveidojiet savienojumu ar pll_refclk0[0] raiduztvērēja ATX PLL un rx_cdr_ref_clk_10g[0] 1G/10GbE un 10G BASE-KR PHY IP.
tod_sync_sampling_clk Ievade Intel Arria 10 dizainiem 250 MHz pulksteņa ieeja TOD apakšsistēmai.
clk100 Ievade Vadības pulkstenis. Šis pulkstenis tiek izmantots, lai ģenerētu latency_clk PTP. Brauciet ar 100 MHz.
mgmt_reset_n Ievade Nios II sistēmas atiestatīšanas signāls.
tx_serial Izvade TX sērijas dati. Atbalsta līdz 4 kanāliem.
rx_serial Ievade RX sērijas dati. Atbalsta līdz 4 kanāliem.
iwf_cpri_ehip_ref_clk Ievade E-tile CPRI PHY atsauces pulksteņa ieeja. Šis pulkstenis ir pieejams tikai Intel Stratix 10 E-tile un Intel
Agilex 7 E-flīžu dizaini. Brauciet ar 153.6 MHz ar 9.8 Gbps CPRI līnijas ātrumu.
iwf_cpri_pll_refclk0 Izvade CPRI TX PLL atsauces pulkstenis.
• Intel Stratix 10 H tile dizainiem: brauciet ar 307.2 MHz ar CPRI datu pārraides ātrumu 9.8 Gb/s.
• Intel Stratix 10 E-tile un Intel Agilex 7 E-tile dizainiem: brauciet ar 156.25 MHz CPRI datu pārraides ātrumam 9.8 Gb/s.
iwf_cpri_xcvr_cdr_refclk Izvade CPRI uztvērēja CDR atsauces pulkstenis. Šis pulkstenis ir pieejams tikai Intel Stratix 10 H-flīžu dizainā.
Brauciet ar 307.2 MHz ar 9.8 Gbps CPRI līnijas ātrumu.
iwf_cpri_xcvr_txdataout Izvade CPRI pārraida sērijas datus. Atbalsta līdz 4 kanāliem.
iwf_cpri_xcvr_rxdatain Izvade CPRI uztvērēja sērijas dati. Atbalsta līdz 4 kanāliem.
cpri_gmii_clk Ievade CPRI GMII 125 MHz ieejas pulkstenis.

Saistītā informācija
PHY interfeisa signāli
Uzskaita PHY interfeisa signālus 25G Ethernet Intel FPGA IP.

2.5. Dizains Piemample Reģistrēties Karte
Tālāk ir sniegta reģistra kartēšana eCPRI IP pamata dizainam, piemēramample:
6. tabula. eCPRI Intel FPGA IP dizains Example Reģistra kartēšana

Adrese  Reģistrēties
0x20100000 – 0x201FFFFF(2) IOPLL pārkonfigurācijas reģistrs.
0x20200000 – 0x203FFFFF Ethernet MAC Avalon-MM reģistrs
0x20400000 – 0x205FFFFF Ethernet MAC Native PHY Avalon-MM reģistrs
0x20600000 – 0x207FFFFF(2) Vietējais PHY RS-FEC Avalon-MM reģistrs.
0x40000000 – 0x5FFFFFF eCPRI IP Avalon-MM reģistrs
0x80000000 – 0x9FFFFFF Ethernet dizaina testa ģenerators/verifikators Avalon-MM reģistrs

7. tabula. Nios II reģistra kartēšana
Zemāk esošajā tabulā esošie reģistri ir pieejami tikai dizainā example ģenerēts Intel Stratix 10 vai Intel Agilex 7 E-tile ierīcēm.

Adrese  Reģistrēties
0x00100000 – 0x001FFFFF IOPLL pārkonfigurācijas reģistrs
0x00200000 – 0x003FFFFF Ethernet MAC Avalon-MM reģistrs
0x00400000 – 0x005FFFFF Ethernet MAC Native PHY Avalon-MM reģistrs
0x00600000 – 0x007FFFFF Vietējais PHY RS-FEC Avalon-MM reģistrs

Piezīme: Varat piekļūt Ethernet MAC un Ethernet MAC Native PHY AVMM reģistriem, izmantojot vārda nobīdi, nevis baitu nobīdi.
Detalizētu informāciju par Ethernet MAC, Ethernet MAC Native PHY un eCPRI IP kodola reģistru kartēm skatiet attiecīgajās lietotāja rokasgrāmatās.

(2) Pieejams tikai dizainā, piemample ģenerēts Intel Stratix 10 un Intel Agilex 7 E-tile ierīcēm.

8. tabula. eCPRI Intel FPGA IP aparatūras dizains Example Reģistrēties Karte

Vārdu nobīde  Reģistra veids  Noklusējuma vērtība  Piekļuves veids
0x0 Sākt sūtīt datus:
• 1. bits: PTP, kas nav PTP veids
• 0. bits: eCPRI tips
0x0 RW
0x1 Nepārtrauktas pakešu iespējošana 0x0 RW
0x2 Notīrīt kļūdu 0x0 RW
0x3 (3) Likmes slēdzis:
• Bits [7] — norāda elementu:
— 1'b0: H veida flīze
— 1'b1: E-tile
• Bits [6:4] — norāda Ethernet datu pārraides ātruma pārslēgšanu:
— 3'b000: 25G līdz 10G
— 3'b001: 10G līdz 25G
• Bits [0] — pārslēgšanās ātruma iespējošana. Šis bits ir jāiestata 0 un jāveic aptauja, līdz bits 0 ir skaidrs ātruma pārslēgšanai.
Piezīme. Šis reģistrs nav pieejams Intel Agilex 7 F-tile un Intel Arria 10 modeļiem.
• E-tile: 0x80
• H-tile: 0x0
RW
0x4 (3) Likmes maiņa pabeigta:
• Bits [1] norāda ātruma pārslēgšanu.
0x0 RO
0x5 (4) Sistēmas konfigurācijas statuss:
• Bits [31]: sistēma ir gatava
• Bits [30]: IWF_EN
• Bits [29]: STARTUP_SEQ_EN
• Bits [28:4]: rezervēts
• Bits [3]: EXT_PACKET_EN
• Bits [2:0]: rezervēts
0x0 RO
0x6 (4) CPRI sarunas pabeigtas:
• Bits [3:0]: bitu pārraides ātrums ir pabeigts
• Bits [19:16]: protokols ir pabeigts
0x0 RW
0x7 (4) CPRI sarunas pabeigtas:
• Bits [3:0]: ātrā C&M pabeigta
• Bits [19:16]: ātrs VSS ir pabeigts
0x0 RW
0x8 – 0x1F Rezervēts.
0x20 eCPRI kļūdas pārtraukums:
• Bits [0] norāda pārtraukumu.
0x0 RO
0x21 Ārējo pakešu kļūda 0x0 RO
0x22 Ārējās PTP paketes TX Pakešu sākuma (SOP) skaita sākums 0x0 RO
0x23 Ārējo PTP pakešu TX Pakešu beigu (EOP) skaits 0x0 RO
0x24 Ārējo dažādu pakešu TX SOP skaits 0x0 RO
0x25 Ārējo dažādu pakešu TX EOP skaits 0x0 RO
0x26 Ārējo RX pakešu SOP skaits 0x0 RO
0x27 Ārējo RX pakešu EOP skaits 0x0 RO
0x28 Ārējo pakešu kļūdu skaits 0x0 RO
0x29 – 0x2C Rezervēts.
0x2D Ārējais PTP laiksamp Pirkstu nospiedumu kļūdu skaits 0x0 RO
0x2E Ārējais PTP laiksamp Pirkstu nospiedumu kļūda 0x0 RO
0x2F Ārējās Rx kļūdas statuss 0x0 RO
0x30 - 0x47 Rezervēts.
0x48 eCPRI pakešu kļūda RO
0x49 eCPRI TX SOP skaits RO
0x4A eCPRI TX EOP skaits RO
0x4B eCPRI RX SOP skaits RO
0x4C eCPRI RX EOP skaits RO
0x4D eCPRI pakešu kļūdu skaits RO

Saistītā informācija

  • Kontroles, statusa un statistikas reģistru apraksti
    Reģistrēt informāciju par 25G Ethernet Stratix 10 FPGA IP
  • Pārkonfigurācija un statusa reģistrs
    Apraksts Reģistrēt informāciju par E-tile Hard IP Ethernet
  • Reģistri
    Reģistrēt informāciju eCPRI Intel FPGA IP

eCPRI Intel FPGA IP dizains Example User Guide Archives

Lai iegūtu jaunāko un iepriekšējo šīs lietotāja rokasgrāmatas versiju, skatiet eCPRI Intel FPGA IP Design Example User Guide HTML versija. Izvēlieties versiju un noklikšķiniet uz Lejupielādēt. Ja IP vai programmatūras versija nav norādīta sarakstā, ir spēkā iepriekšējās IP vai programmatūras versijas lietotāja rokasgrāmata.

Dokumentu pārskatīšanas vēsture eCPRI Intel FPGA IP Design Example Lietotāja rokasgrāmata

Dokumenta versija Intel Quartus
Galvenā versija
IP versija Izmaiņas
2023.05.19 23.1 2.0.3 • Atjaunināts Simulating the Design Example Testbench sadaļā Īsās lietošanas pamācības nodaļā.
• Atjaunināts produktu saimes nosaukums uz “Intel Agilex 7”.
2022.11.15 22.3 2.0.1 Atjauninātas instrukcijas VCS simulatoram sadaļā: Dizaina simulācija Example Testbench.
2022.07.01 22.1 1.4.1 • Pievienots aparatūras dizains exampatbalsts Intel Agilex 7 F-tile ierīču variācijām.
• Pievienots atbalsts šādiem izstrādes komplektiem:
— Intel Agilex 7 I-Series FPGA izstrādes komplekts
— Intel Agilex 7 I sērijas raiduztvērēja-SoC izstrādes komplekts
• Pievienots QuestaSim simulatora atbalsts.
• Noņemts ModelSim* SE simulatora atbalsts.
2021.10.01 21.2 1.3.1 • Pievienots atbalsts Intel Agilex 7 F-tile ierīcēm.
• Pievienots atbalsts daudzkanālu dizainam.
• Atjaunināta tabula: eCPRI Intel FPGA IP Hardware Design Example Reģistrēties Karte.
• Noņemts NCSim simulatora atbalsts.
2021.02.26 20.4 1.3.0 • Pievienots atbalsts Intel Agilex 7 E-tile ierīcēm.
2021.01.08 20.3 1.2.0 • Mainīts dokumenta nosaukums no eCPRI Intel Stratix 10 FPGA IP Design Example Lietotāja rokasgrāmata uz
eCPRI Intel FPGA IP dizains Example Lietotāja rokasgrāmata.
• Pievienots Intel Arria 10 dizainu atbalsts.
• eCPRI IP dizains, piemample tagad ir pieejams ar interworking funkcijas (IWF) funkcijas atbalstu.
• Pievienota piezīme, lai precizētu, ka eCPRI dizains example ar IWF funkciju ir pieejama tikai 9.8 Gbps CPRI
līnijas bitu pārraides ātrums.
• Pievienoti nosacījumi sadaļā Dizaina ģenerēšana, ģenerējot dizainu, piemample ar
Sadarbības funkcijas (IWF) atbalsta parametrs ir iespējots.
• Pievienots sample simulācijas testa palaišanas izvade ar iespējotu IWF funkciju sadaļā Dizaina simulēšana
Example Testbench.
• Pievienota jauna sadaļa Dinamiskās pārkonfigurācijas iespējošana Ethernet IP.
• Atjaunināts aparatūras tests sample izvade sadaļā
eCPRI Intel FPGA IP Design Ex testēšanaample.
2020.06.15 20.1 1.1.0 • Pievienots atbalsts 10G datu pārraides ātrumam.
• plūsma.c file tagad ir pieejams ar dizainu examppaaudze, lai izvēlētos cilpas režīmu.
• Modificēts sample izvade simulācijas testa palaišanai sadaļā Dizaina modelēšana Example Testbench.
• Pievienota frekvences vērtība 10G datu pārraides ātruma projektēšanai sadaļā Kompilēšana un konfigurēšana
Dizains Piemample aparatūrā.
• Veiktas šādas izmaiņas sadaļā Testēšana eCPRI Intel FPGA IP Design Example:
— Pievienotas komandas, lai pārslēgtu datu pārraides ātrumu starp 10G un 25G
— Pievienots sample izvade datu pārraides ātruma pārslēgšanai
— Pievienota TEST_MODE mainīgā informācija, lai atlasītu atgriezenisko saiti E-tile ierīču variācijās.
• Modificēts eCPRI Intel FPGA IP Hardware Design Examples augsta līmeņa blokshēmā, lai iekļautu jaunu
bloki.
• Atjaunināta tabula: Design Example Interfeisa signāli, lai iekļautu jaunu signālu.
• Atjaunināts dizains Example Reģistrēties Kartes sadaļa.
• Pievienota jauna pielikuma sadaļa: Izpildāmā un saistīšanas formāta (.elf) programmēšanas ģenerēšana un lejupielāde File .
2020.04.13 19.4 1.1.0 Sākotnējā izlaišana.

A. Izpildāmā un saistīšanas formāta (.elf) programmēšanas ģenerēšana un lejupielāde File

Šajā sadaļā ir aprakstīts, kā ģenerēt un lejupielādēt .elf file uz dēli:

  1. Mainīt direktoriju uzample_dir>/synthesis/quatus.
  2. Programmatūrā Intel Quartus Prime Pro Edition noklikšķiniet uz Open Project un atverietample_dir>/synthesis/quartus/epri_ed.qpf. Tagad atlasiet Rīki ➤ Nios II Software Build Tools for Eclipse.
    10. attēls. Nios II programmatūras veidošanas rīki EclipseeCPRI Intel FPGA IP dizains — 10. attēls
  3. Parādās darbvietas palaidēja loga uzvedne. Darbvietā norādiet ceļu kāample_dir>/synthesis/quatus, lai saglabātu savu Eclipse projektu. Parādās jaunais Nios II – Eclipse logs.
    11. attēls. Darbvietas palaidēja logseCPRI Intel FPGA IP dizains — 11. attēls
  4. Logā Nios II — Eclipse ar peles labo pogu noklikšķiniet uz cilnes Project Explorer un atlasiet Jauns ➤ Nios II dēļa atbalsta pakotne. Parādās jauns logs.
    12. attēls. Project Explorer cilneeCPRI Intel FPGA IP dizains — 12. attēls
  5. Nios II dēļa atbalsta pakotnes logā:
    • Parametrā Project name norādiet vēlamo projekta nosaukumu.
    • SOPC informācijā File nosaukuma parametru, pārlūkojiet līdz atrašanās vietaiample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Noklikšķiniet uz Pabeigt.
    13. attēls. Nios II plates atbalsta pakotnes logseCPRI Intel FPGA IP dizains — 13. attēls
  6. Jaunizveidotais projekts tiek parādīts cilnē Project Explorer Nios II Eclipse logā. Ar peles labo pogu noklikšķiniet uz cilnes Project Explorer un atlasiet Nios II ➤ Nios II Command Shell.
    14. attēls. Project Explorer- Nios II Command ShelleCPRI Intel FPGA IP dizains — 14. attēls
  7. Nios II komandu apvalkā ierakstiet trīs šādas komandas: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .elfs file tiek ģenerēts šādā vietā:ample_dir>/ synthesis/ip_components/software/ /app.
  9. Nios II Command Shell ierakstiet šo komandu, lai lejupielādētu .elf uz tāfeles:
    • Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

Intel logotipseCPRI Intel FPGA IP dizains — ikona Tiešsaistes versija
eCPRI Intel FPGA IP dizains — ikona 1 Sūtīt atsauksmes
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP dizains Example Lietotāja rokasgrāmata

Dokumenti / Resursi

Intel eCPRI Intel FPGA IP dizains [pdfLietotāja rokasgrāmata
eCPRI Intel FPGA IP dizains, eCPRI, Intel FPGA IP dizains, FPGA IP dizains, IP dizains, dizains

Atsauces

Atstājiet komentāru

Jūsu e-pasta adrese netiks publicēta. Obligātie lauki ir atzīmēti *